Skip to content

Commit 7367803

Browse files
committed
Reverted workaround from 6aedc46.
1 parent ef8b83e commit 7367803

File tree

9 files changed

+17
-30
lines changed

9 files changed

+17
-30
lines changed

.github/workflows/Pipeline.yml

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -18,7 +18,7 @@ jobs:
1818
matrix:
1919
include:
2020
- { simulator: 'nvc', backend: '', version: 'latest', can-fail: false }
21-
- { simulator: 'ghdl', backend: 'mcode', version: 'latest', can-fail: false }
21+
# - { simulator: 'ghdl', backend: 'mcode', version: 'latest', can-fail: false }
2222
- { simulator: 'ghdl', backend: 'llvm', version: 'latest', can-fail: false }
2323
with:
2424
simulator: ${{ matrix.simulator }}

.github/workflows/Simulate.yml

Lines changed: 2 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -307,9 +307,11 @@ jobs:
307307
}
308308
309309
if {$::osvvm::ToolName eq "GHDL"} {
310+
SetExtendedAnalyzeOptions {-frelaxed -Wno-specs -Wno-elaboration}
310311
SetExtendedSimulateOptions {-frelaxed -Wno-specs -Wno-binding}
311312
}
312313
if {$::osvvm::ToolName eq "NVC"} {
314+
SetExtendedAnalyzeOptions {--relaxed}
313315
}
314316
315317
build ../../tb/RunAllTests.pro

regression.tcl

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -31,7 +31,7 @@ source ../lib/OSVVM-Scripts/StartUp.tcl
3131
build ../lib/OsvvmLibraries.pro
3232

3333
if {$::osvvm::ToolName eq "GHDL"} {
34-
SetExtendedAnalyzeOptions {-frelaxed -Wno-specs -Wno-elaboration}
34+
SetExtendedAnalyzeOptions {-frelaxed -Wno-specs -Wno-elaboration}
3535
SetExtendedSimulateOptions {-frelaxed -Wno-specs -Wno-binding}
3636
}
3737

src/arith/arith_cca.vhdl

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -85,7 +85,7 @@ architecture rtl of arith_cca is
8585
if core <= 0 then
8686
for j in 0 to i loop
8787
report integer'image(j)&": ("&integer'image(res(j).base)&", "&integer'image(res(j).core)&", "&integer'image(res(j).done)&")" severity note;
88-
end loop; -- j
88+
end loop j;
8989
return res(0 to i);
9090
end if;
9191
base := base + 2*core;

src/arith/xilinx/arith_cca_xilinx.vhdl

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -84,7 +84,7 @@ architecture rtl of arith_cca is
8484
if core <= 0 then
8585
for j in 0 to i loop
8686
report integer'image(j)&": ("&integer'image(res(j).base)&", "&integer'image(res(j).core)&", "&integer'image(res(j).done)&")" severity note;
87-
end loop; -- j
87+
end loop j;
8888
return res(0 to i);
8989
end if;
9090
base := base + 2*core;

src/bus/axi4/AXI4Lite/AXI4Lite_Termination_Subordinate.vhdl

Lines changed: 1 addition & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -64,10 +64,7 @@ begin
6464

6565
AXI4Lite_S2M.ARReady <= not ARFull_i;
6666

67-
-- WORKAROUND:
68-
-- Tool: NVC 1.15.x
69-
-- NVC doesn't support inference of others through unconstrained port of type record.
70-
AXI4Lite_S2M.RData(AXI4Lite_S2M.RData'range) <= (others => '0');
67+
AXI4Lite_S2M.RData <= (others => '0');
7168
AXI4Lite_S2M.RResp <= RESPONSE_CODE;
7269

7370
fifo_aw: fifo_cc_got

src/bus/axi4/AXI4_Termination_Subordinate.vhdl

Lines changed: 3 additions & 12 deletions
Original file line numberDiff line numberDiff line change
@@ -63,23 +63,14 @@ begin
6363

6464
AXI4_S2M.BValid <= fifo_aw_valid and fifo_w_valid;
6565
AXI4_S2M.BResp <= RESPONSE_CODE;
66-
-- WORKAROUND:
67-
-- Tool: NVC 1.15.x
68-
-- NVC doesn't support inference of others through unconstrained port of type record.
69-
AXI4_S2M.BUser(AXI4_S2M.BUser'range) <= (others => '0');
66+
AXI4_S2M.BUser <= (others => '0');
7067

7168
AXI4_S2M.ARReady <= not ARFull_i;
7269

73-
-- WORKAROUND:
74-
-- Tool: NVC 1.15.x
75-
-- NVC doesn't support inference of others through unconstrained port of type record.
76-
AXI4_S2M.RData(AXI4_S2M.RData'range) <= (others => '0');
70+
AXI4_S2M.RData <= (others => '0');
7771
AXI4_S2M.RResp <= RESPONSE_CODE;
7872
AXI4_S2M.RLast <= '1';
79-
-- WORKAROUND:
80-
-- Tool: NVC 1.15.x
81-
-- NVC doesn't support inference of others through unconstrained port of type record.
82-
AXI4_S2M.RUser(AXI4_S2M.RUser'range) <= (others => '0');
73+
AXI4_S2M.RUser <= (others => '0');
8374

8475
fifo_aw: fifo_cc_got
8576
generic map(

src/bus/axi4/AXI4_to_AXI4Lite.vhdl

Lines changed: 3 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -74,7 +74,6 @@ begin
7474
Out_M2S.ARProt <= In_M2S.ARProt ;
7575
Out_M2S.RReady <= In_M2S.RReady ;
7676

77-
7877
In_S2M.AWReady <= Out_S2M.AWReady and not Response_B_fifo_ful;
7978
In_S2M.WReady <= Out_S2M.WReady ;
8079
In_S2M.BValid <= Out_S2M.BValid ;
@@ -84,11 +83,9 @@ begin
8483
In_S2M.RData <= Out_S2M.RData;
8584
In_S2M.RResp <= Out_S2M.RResp ;
8685
In_S2M.RLast <= '1';
87-
-- WORKAROUND:
88-
-- Tool: NVC 1.15.x
89-
-- NVC doesn't support inference of others through unconstrained port of type record.
90-
In_S2M.BUser(In_S2M.BUser'range) <= (others => '0');
91-
In_S2M.RUser(In_S2M.RUser'range) <= (others => '0');
86+
87+
In_S2M.BUser <= (others => '0');
88+
In_S2M.RUser <= (others => '0');
9289

9390
Response_R_fifo : entity work.fifo_shift
9491
generic map(

tb/arith/div/arith_div_Simple.vhdl

Lines changed: 4 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -100,8 +100,8 @@ begin
100100
WaitForClock(Clock);
101101
wait for 1 ns;
102102
Start <= '0';
103-
A <= (A'range => '-'); -- (others => '-'); WORKAROUND: NVC 1.18.2 Linux
104-
D <= (D'range => '-'); -- (others => '-');
103+
A <= (others => '-');
104+
D <= (others => '-');
105105
done := (others => false);
106106

107107
loop
@@ -136,8 +136,8 @@ begin
136136

137137
begin
138138
Start <= '0';
139-
A <= (A'range => '-'); -- (others => '-'); WORKAROUND: NVC 1.18.2 Linux
140-
D <= (D'range => '-'); -- (others => '-');
139+
A <= (others => '-');
140+
D <= (others => '-');
141141

142142
-- Initialize Random
143143
Random.InitSeed(Random'instance_name);

0 commit comments

Comments
 (0)